xilinx vivado 自带仿真工具xsim信号为蓝色Z态的解决办法_vivado仿真都是z-CSDN博客

网站介绍:文章浏览阅读1.4w次,点赞8次,收藏19次。用过modelsim, CVS等仿真工具,头一回用xilinx自带的仿真工具,莫名其妙发现下面这条语句居然会出现蓝色不确定Z态。 assign gt_all_reset_done = gt0_txfsmresetdone_r2 & gt1_txfsmresetdone_r2 & gt0_rxresetdone_r3 & gt1_rxresetdone_r3;这种情况一般是没有赋初值,但是我这句里面右边的四个信号都是有值的,所以这里就让我比较意外了。..._vivado仿真都是z