FPGA电源设计总结_我朝山海而去的博客-CSDN博客

网站介绍:从网络整理,仅供自己学习FPGA的电源主要由核电VCCINT,block RAM供电VCCBRAM,辅助电压VCCAUX和VCCAUX_IO,IO电压VCCIO,高速GTX接口电压VMGTAVCC,VMGTAVTT,VMGTVCCAUX,VMGTAVTTRCAL等电压组成。各电源定义VCCINTVCCINT是FPGA芯片的内核电压,是用来给FPGA内部的逻辑门和触发器上的电压。即芯片的晶体管开关是有核心电压提供。当内部逻辑工作时钟速率越高,使用逻辑资源越多,则核心电压供电电流会......_fpga电源设计